Publications

Any opinions, findings, and conclusions or recommendations expressed in the below publications are those of the author(s) and do
not necessarily reflect the views of the National Science Foundation.


------ 2024-----

GLSVLSI'24 HSCONN: Hardware-Software Co-Optimization for Self-Attention Neural Networks
Siqin Liu, Prakash Chand Kuve and Avinash Karanth
Accepted to appear in ACM Great Lakes Symposium on VLSI, Tampa Bay, FL, June 12-14, 2024.

GLSVLSI'24 SNAC: Mitigation of Snoop-Based Attacks with Multi-Tier Security in NoC Architectures
Siqin Liu, Saumya Chauhan and Avinash Karanth
Accepted to appear in ACM Great Lakes Symposium on VLSI, Tampa Bay, FL, June 12-14, 2024.

PAISE'24 PCM Enabled Low-Power Photonic Accelerator for Inference and Training on Edge Devices
Juliana Curry, Ahmed Louri, Avinash Karanth and Razvan Bunescu
Accepted to appear in 6th Workshop on Parallel AI and Systems for the Edge, Co-located with IPDPS, San Fransisco, 27th May, 2024.

ISQED'23 SCORCH: Neural Architecture Search and Hardware Accelerator Co-design with Reinforcement Learning
Siqin Liu and Avinash Karanth
Accepted to appear in 25th IEEE International Symposium on Quality Electronic Design (ISQED), San Francisco, CA, April 3-5, 2024.

TPDS A High-Performance and Energy-Efficient Photonic Architecture for Multi-Tenant and Multi-Dataflow DNN Acceleration
Yuan Li, Ahmed Louri and Avinash Karanth
IEEE Transactions on Parallel and Distributed Systems, vol. 35, pp. 46-58, January 2024.

ASP-
DAC'24
d-GUARD: Thwarting Denial-of-Service Attacks via Hardware Monitoring of Information Flow using Language Semantics in Embedded Systems
Garett Cunnigham, Harsha Chenji, David Juedes and Avinash Karath
29th Asia and South Pacific Design Automation Conference (ASP-DAC 2024), Incheon, South Korea, January 22-25, 2024. (Acceptance Rate = 140/483)



------ 2023-----

Frontiers Spiking Neural Networks Fine-tuning for Brain Image Segmentation
Ye Yue, Marc Baltes, Nidal Abuhajar, Tao Sun, Avinash Karanth, Charles Smith, Trevor Bihl and Jundong Liu
Frontiers in Neuroscience, Section Neuromorphic Engineering vol. 17, October 2023.

PACT'23 A Silicon Photonic Multi-DNN Accelerator
Yuan Li, Ahmed Louri and Avinash Karanth
Accepted to appear in 32nd
International Conference on Parallel Architecture and Compilation Techniques (PACT), Vienna, Austria, October 21-25, 2023.

ISCA'23 Flumen: Dynamic Processing in the Photonic Interconnect
Kyle Shiflett, Avinash Karanth, Ahmed Louri and Razvan Bunescu
50th IEEE International Symposium on Computer Architecture (ISCA), Orlando, FL, June 17-21, 2023.
(Acceptance Rate = 72/379)


ISQED'23 DAGGER: Exploiting Language Semantics for Program Security in Embedded Systems
Garett Cunningham, David Juedes, Gordon Stewart, Harsha Chenji and Avinash Karanth
24th IEEE International Symposium on Quality Electronic Design (ISQED), San Francisco, CA, April 5-7, 2023.

SiP'23 Photonic Interconnect Based Neural Network Simulator
Andy Wolff, Kyle Shiflett and Avinash Karanth
IEEE Silicon Photonics Conference, Arlington, VA, April 4-7, 2023.

SIGCSE'23 Reflections of Cybersecurity Workshop for K-12 Teachers
Chad Mourning, David Juedes, Allyson Hallman-Thrasher, Harsha Chenji, Savas Kaya and Avinash Karanth
54th ACM Technical Symposium on Computer Science Education (SIGCSE'23), Toronto, Canada, March 15-18, 2023.


------ 2022-----

ASH'22
Advanced Machine Learning Techniques Predict GvHD Occurrence and Severity with High Accuracy
Nicholas Yuhaz, Travis Me'Kayla, Kyle Shiflett, Elizabeth Greer Miller, Parvathi Ranganathan, Avinash Karanth and Hannah Choe
64th ASH Annual Meeting and Exposition, New Orleans, Louisiana, December 10-13, 2022.

TCAS-1 Exploiting Wireless Technology for Energy-Efficient Accelerators with Multiple Dataflows and Precision
Siqin Liu, Talha F. Canan, Harshavardhan Chenji, Soumyasanta Laha, Savas Kaya and Avinash Karanth
IEEE Transactions on Circuits and Systems - 1, vol. 69, no. 7, pp. 2742-2755, July 2022.

TCAS-1 ASCEND: A Scalable and Energy-Efficient Deep Neural Network Accelerator with Photonic Interconnects
Yuan Li, Ke Wang, Hao Zheng, Ahmed Louri and Avinash Karanth
IEEE Transactions on Circuits and Systems - 1, vol. 69, no. 2, pp. 2730-2741, July 2022.

SIGCSE'22 Reflections of Cybersecurity Workshop for K-12 Teachers and High School Students
Chad Mourning, David Juedes, Allyson Hallman-Thrasher, Harsha Chenji, Savas Kaya and Avinash Karanth
Proceedings of the 53rd ACM Technical Symposium on Computer Science Education (SIGCSE'22), v.2, pp. 1127, March 2022.

TETC HREN: A Hybrid Reliable and Energy-Efficient Network-on-Chip Architecture
Padmaja Bhamidipati and Avinash Karanth
IEEE Transactions on Emerging Topics in Computing (TETC), vol. 10, no. 2, pp. 537-548, April-June 2022.

TPDS SPRINT: A High-Performance, Energy-Efficient, and Scalable Chiplet-based Accelerator with Photonic Interconnects for CNN Inference
Yuan Li, Ahmed Louri and Avinash Karanth
IEEE Transactions on Parallel and Distributed Systems (TPDS), vol. 33, no. 2, pp. 2332-2345, October 2022.

HPCA'22 SPACX: Silicon Photonics-based Scalable Chiplet Accelerator for DNN Inference
Yuan Li, Ahmed Louri and Avinash Karanth
28th IEEE International Symposium on High-Performance Computer Architecture (HPCA-28), Seoul, South Korea, April 2-6, 2022. (Acceptance Rate = 80/262)



------ 2021-----
IEEE JXCDC Fine-Grain Reconfigurable Logic Circuits for Adaptive and Secure Computing via Work-Function Engineered Schottky Barrier FinFETs [PDF]
Talha F. Canan, Savas Kaya, Harsha Chenji and Avinash Karanth
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits (JXCDC), vol. 7, no. 2, pp. 150-158, December 2021.

HiPC'21 Dynamic Voltage and Frequency Scaling to Improve Energy-Efficiency of Hardware Accelerators [PDF]
Siqin Liu and Avinash Karanth
28th IEEE International Conference on High-Performance Computing, Data & Analytics (HiPC), Dec 17-18, 2021. (Acceptance Rate = 37/157 = 23%)(Nominated for Best Paper Award)

ICCD'21 WiNN: Wireless Interconnect based Neural Network Accelerator [PDF]
Siqin Liu, Sushanth Karmunchi, Avinash Karanth, Soumyasanta Laha and Savas Kaya
39th International Conference on Computer Design (ICCD), October 24-27, 2021.
(Acceptance Rate = 60/246 = 24%)

IPC'21 Parallel Dot Product using Silicon Photonics
Andy Wolff, Kyle Shiflett and Avinash Karanth
IEEE Photonics Conference (IPC), Oct 18-21, 2021.

ISCA'21 Albireo: Energy-Efficient Acceleration of Convolutional Neural Networks via Silicon Photonics [PDF]
Kyle Shiflett, Avinash Karanth, Ahmed Louri and Razvan Bunescu
48th International Symposium on Computer Architecture (ISCA), June 14-19, 2021.
(Acceptance Rate = 76/405 = 18%)

GLSVLSI'21 Bitwise Neural Network Acceleration using Silicon Photonics [PDF]
Kyle Shiflett, Avinash Karanth, Ahmed Louri and Razvan Bunescu
31st ACM Great Lakes Symposium on VLSI, June 22-25, 2021.

DAC'21 Scaling Deep Learning Inference with Chiplet-based Architecture and Photonic Interconnects [PDF]
Yuan Li, Ahmed Louri, and  Avinash Karanth
58th Design Automation Conference (DAC), San Fransisco, Dec 2021.
(Acceptance Rate = 215/916 = 23%)

HPCA'21
CSCNN: Algorithm-Hardware Co-Design for CNN Accelerators using Centrosymmetric Filters [PDF]
Jiajun Li, Ahmed Louri, Avinash Karanth and Razvan C. Bunescu
27th IEEE International Symposium on High-Performance Computer Architecture (HPCA-21), Feb 27 - March 3, 2021. (Acceptance Rate = 63/258 = 24%)

HPCA'21


GCNAX: A Flexible and Energy-efficient Accelerator for Graph Convolutional Neural Network [PDF]
Jiajun Li, Ahmed Louri, Avinash Karanth and Razvan C. Bunescu
27th IEEE International Symposium on High-Performance Computer Architecture (HPCA-21), Feb 27 - March 3, 2021. (Acceptance Rate = 63/258 = 24%)



------ 2020------
ICECS'20 4-Input NAND and NOR Gates Based on Two Ambipolar Schottky Barrier FinFETs
Talha Canan, Savas Kaya, Avinash Karanth, and Ahmed Louri
 
27th IEEE International Conference on Electronics, Circuits & Systems (ICECS), Glasgow, Scotland, Nov 23-25, 2020.

IEEE TCAD
CODES+
ISSS'20


Hardware-Level Thread Migration to Reduce On-Chip Data Movement via Reinforcement Learning [PDF]
Quintin Fettes, Avinash Karanth, Razvan Bunescu, Ahmed Louri and Kyle Shiflett
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 39, no. 11, pp. 3638-3649, Nov 2020.
(This work has been accepted for presentation at International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), September 20-25, 2020.)

IPC'20 Energy-Efficient Multiply-and-Accumulate Using Silicon Photonics for Deep Neural Networks  [PDF]
Kyle Shiflett, Avinash Karanth, Ahmed Louri and Razvan Bunescu
IEEE Photonics Conference (IPC), Vancouver, Canada, Sept 27-Oct 1, 2020.

MWSCAS'20 Reconfigurable Gates with Sub-10nm Ambipolar SB-FinFETs for Logic Locking & Obfuscation [PDF]
Talha Canan, Savas Kaya, Harsha Chenji and Avinash Karanth
63rd IEEE International Midwest Symposium on Circuits & Systems (MWSCAS), Springfield, MA, August 9-12 2020.

IEEE TC Guest Editors' Introduction to the Special Issue on Machine Learning Architectures and Accelerators [PDF]
Xuehai Qian, Yanzhi Wang and Avinash Karanth
IEEE Transactions on Computers, vol. 69, no. 7, pp. 929-930, July 2020.

IPDPS'20 DoZZNoC: Reducing Static and Dynamic Energy in NoCs with Low-Latency Voltage Regulators using Machine Learning [PDF]
Mark Clark, Yingping Chen, Avinash Karanth, Brian Ma and Ahmed Louri
26th IEEE International Parallel and Distributed Processing Symposium (IPDPS 2020), New Orleans, LA, May 18-22, 2020.

HPCA'20
PIXEL: Photonic Neural Network Accelerator [PDF]
Kyle Shiflett, Dylan Wright, Avinash Karanth and Ahmed Louri
26th IEEE International Symposium on High-Performance Computer Architecture (HPCA 2020), San Diego, CA, February 22-26, 2020. (Acceptance Rate = 48/248)


------ 2019-------
IEEE JXCDC Ultra-Compact and Low-Power Logic Circuits via Work-Function Engineering [PDF]
Talha F. Canan, Savas Kaya, Avinash Karanth, and Ahmed Louri
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits (JXCDC), vol. 5, no. 2, pp. 94-102, December 2019.

IEEE TSUC
Sustainability in Network-on-Chips by Exploring Heterogeneity in Emerging Technologies [PDF]
Avinash Karanth, Savas Kaya, Ashif Sikder, Daniel Carbaugh, Soumyasanta Laha, Ahmed Louri, Hao Xin, Junqiang Wu and Dominic DiTomaso
IEEE Transactions on Sustainable Computing (TSUC), vol. 4, no. 3, pp. 293-307, July/September 2019.

ISCA'19
IntelliNoC: A Holistic Framework for Energy-Efficient and Reliable On-Chip Communication for Manycores [PDF]
Ke Wang, Ahmed Louri, Avinash Karanth, and Razvan Bunescu
45th IEEE International Symposium on Computer Architecture (ISCA), Phoenix, AZ, June 22-26, 2019.

(Acceptance Rate ~ 62/365 = 17%)

IEEE TC Dynamic Voltage and Frequency Scaling in NoCs with Supervised and Reinforcement Learning Techniques [PDF]
Quintin Fettes, Mark Clark, Razvan Bunescu, Avinash Karanth, and Ahmed Louri
IEEE Transactions on Computers (TC), vol. 68, no. 3, pp. 375-389, March 2019.

DATE'19       
High-Performance, Energy-Efficient, and Fault-Tolerant Network-on-Chip Design using Reinforcement Learning [PDF]
Ke Wang, Ahmed Louri, Avinash Karanth, and Razvan Bunescu
IEEE Design and Test in Europe (DATE), Florence, Italy, March 24-28, 2019.

(Acceptance Rate ~ 202/832 = 24%) (Nominated for Best Paper Award)

ACM JETC Limit of Hardware Solutions for Self-Protecting Fault-Tolerant NoCs [PDF]
Ahmed Louri, Jacques Collet and Avinash Karanth
ACM Journal on Emerging Technologies in Computing Systems (JETC), vol. 15, no. 1, February 2019.

IEEE TED Ambipolar SB-FinFETs: A New Path to Ultra-Compact sub-10nm Logic Circuits [PDF]
Talha Canan, Savas Kaya, Avinash Karanth, Ahmed Louri and Hao Xin
IEEE Transactions on Electron Devices (TED), vol. 66, no. 1, pp. 255-263, January 2019.


------ 2018-------
ICECS'18 10T and 8T Full Adders Based on Ambipolar XOR Gates with SB-FinFETs
Talha Canan, Savas Kaya, Avinash Karanth, Ahmed Louri and Hao Xin
IEEE International Conference on Electronics, Circuits & Systems (ICECS), Bordeaux, France, Dec 9-12, 2018.

ICCD'18 RETUNES: Reliable and Energy-Efficient Network-on-Chip Architecture [PDF]
Padmaja Bhamidipati and Avinash Karanth
36th IEEE International Conference on Computer Design (ICCD), Orlando, FL, Oct 7-10, 2018.
(Acceptance Rate ~ 29%)

CASES'18
IEEE TCAD
GARUDA: Designing Energy-Efficient Hardware Monitors from High-Level Policies for Secure Information Flow [PDF]
Seaghan Sefton, Taiman Siddiqui, Nathaniel St. Armor, Gordon Stewart and Avinash Karanth Kodi.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 37, no. 11, pp. 2509-2518, Nov 2018. (This work was presented at the
International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES'18), Torino, Italy, Sept 30-Oct 5, 2018.)

NOCs'18
Securing NoCs Against Timing Attacks with Non-Interference Based Adaptive Routing [PDF]
Travis Boraten and Avinash Karanth Kodi
12th IEEE/ACM International Symposium on Network-on-Chips (NoCs 2018), Torino, Italy, Oct 4-5, 2018.

MWSCAS'18 Sub-THz Tunable Push Push Oscillators with FinFETs for Wireless NoCs [PDF]
Talha Canan, Savas Kaya, Avinash Kodi, Ahmed Louri and Hao Xin
61st IEEE International Midwest Symposium on Circuits & Systems (MWSCAS), Windsor, ON, Canada, August 5-8, 2018.

ACM JETC SHARP: Shared Heterogeneous Architecture with Reconfigurable Photonic Network-on-Chip [PDF]
Scott VanWinkle and Avinash Karanth Kodi
ACM Journal on Emerging Technologies in Computing Systems (JETC), vol. 14, no. 2, July 2018.

DAC'18 LEAD: Learning-enabled Energy-Aware Dynamic Voltage/Frequency Scaling in NoCs [PDF]
Mark Clark, Avinash Kodi, Razvan Bunescu, and Ahmed Louri
55th Design Automation Conference (DAC'18), San Fransisco, CA, June 24-28, 2018. (Acceptance Rate ~ 24%)

IPDPS'18 Scalable Power-Efficient Kilo-Core Photonic-Wireless NoC Architectures [PDF]
Avinash Kodi, Kyle Shifflet, Savas Kaya, Ahmed Louri and Soumyasanta Laha
32nd IEEE International Parallel and Distributed Processing Symposium (IPDPS'18), Vancouver, British Columbia, Canada, May 21-25, 2018. (Acceptance Rate ~ 24.5%)

IEEE TCAD Runtime Fault Tolerant Techniques to Mitigate Soft Errors in Network-on-Chips (NoCs) Architectures [PDF]
Travis Boraten and Avinash Karanth Kodi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 37, no. 3, pp. 682-695, March 2018.

HPCA'18       
Extending the Power-Efficiency and Performance of Photonic Interconnects for Heterogeneous Multicores with Machine Learning [PDF]
Scott VanWinkle, Avinash Kodi, Razvan Bunescu, and Ahmed Louri
24th IEEE International Symposium on High-Performance Computer Architecture (HPCA'18), Vienna, Austria, February 24-28, 2018. (Acceptance Rate ~ 20%)

JPDC Mitigation of Hardware Trojan based Denial-of-Service Attack for Secure NoCs [PDF]
Travis Boraten and Avinash Karanth Kodi

Journal of Parallel and Distributed Systems (JPDC), vol. 111, pp. 24-38, January 2018.

USNC-URSI
Reconfigurable Intra-Chip Antenna for Future Wireless Communications
Yashika Sharma, Junqiang Wu, Adnan Kantemur, Jinpil Tak, Avinash Kodi, Savas Kaya, Ahmed Louri and Hao Xin
Proceedings of 2018 USNC-URSI, Boulder, CO, January 4-8, 2018.


----- 2017-------
IEEE TAP
Monopoles Loaded with 3D-Printed Dielectrics for Future Wireless Intra-Chip Communications []
Junquiang Wu, Avinash Kodi, Savas Kaya, Ahmed Louri and Hao Xin
IEEE Transactions on Antennas and Propagation, vol. 65, no. 12, pp. 6838-6846, December 2017.

IEEE JLT
Laser Pooling: Static and Dynamic Laser Power Allocation for On-Chip Optical Interconnects [PDF]
Matthew Kennedy and Avinash Karanth Kodi
IEEE/OSA Journal of Lightwave Technology, Special Issue on Optical Interconnects Conference (OIC), vol. 35, no. 15, pp. 3159-3167, August 2017.

MWSCAS'17 Ultra-Compact Sub-10nm Logic Circuits based on Ambipolar SB-FinFETs [PDF]
Talha Canan, Savas Kaya, Avinash Kodi, Ahmed Louri and Hao Xin
60th IEEE International Midwest Symposium on Circuits & Systems (MWSCAS), Boston, MA, August 6-9, 2017.

WAMICON'17 mm-Wave Tunable Colpitt Oscillators with FinFETs [PDF]
Yunus Kelestemur, Soumyasanta Laha, Savas Kaya, Avinash Karanth Kodi, Hao Xin and Ahmed Louri

IEEE Wireless and Microwave Technology Conference, Cocoa Beach, FL, April 24-25, 2017.

WPC Antennas and Channel Characteristics for Wireless Networks on Chips [PDF]
William Rayess, David Matolak, Savas Kaya and Avinash Karanth Kodi

Springer Wireless Personal Communications, pp. 1-18, April 2017.

DATE'17 Machine Learning Enabled Power-Aware Network-on-Chip Design [PDF]
Dominic DiTomaso, Ashif Sikder, Avinash Karanth Kodi and Ahmed Louri

Design and Test in Europe (DATE'17), Lausanne, Switzerland, March 27-31, 2017.
(Acceptance Rate ~ 24%)


JPDC CLAP-NET: Bandwidth Adaptive and Power Regulated Optical Crossbar Architecture [PDF]
Matthew Kennedy and Avinash Karanth Kodi

Journal of Parallel and Distributed Systems (JPDC), vol. 100, pp. 130-139, Feb 2017.


----- 2016-------
Elsevier Scalable 3D Optical Interconnects for Data centers
Avinash Kodi, Ahmed Louri and Randy Morris
Optical Interconnects for Datacenters, Woodhead Publishing, Nov 2016.

MICRO'16 
Dynamic Error Mitigation in NoCs using Intelligent Prediction Techniques [PDF]
Dominic DiTomaso, Travis Boraten, Avinash Kodi, and Ahmed Louri
49th ACM/IEEE International Symposium on Microarchitecture (MICRO-49), Taipei, Taiwan, October 15-19, 2016.
(Acceptance Rate ~ 21%)

DFT'16
An Adaptive Algorithm to Improve Lifetime Reliability in NoCs Architecture [PDF]
Juman Alshraiedeh and Avinash Karanth Kodi
29th IEEE Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Connecticut, Storrs, Sept 19-20, 2016.

NANOCOM'16 Reconfigurable Optical and Wireless (R-OWN) Network-on-Chip for High Performance Computing [PDF
Ashif Sikder, Avinash Kodi and Ahmed Louri

3rd ACM International Conference on Nanoscale Computing and Communication (NanoCom'16), New York, NY, Sept 28-30, 2016.

Hot Int'16 Exploring Wireless Technology for Off-Chip Memory Access [PDF]
Ashif Sikder, Avinash Kodi,
Savas Kaya, William Rayess, David Matolak, and Dominic DiTomaso
24th Annual Symposium on High-Performance Interconnects (Hot Interconnects'16), Santa Clara, CA, August 24-26, 2016.

GLSVLSI'16 Secure Model Checkers for Network-on-Chip (NoC) Architecture [PDF]
Travis Boraten, Dominic DiTomaso and Avinash Karanth Kodi
26th ACM's Great Lakes VLSI Symposium (GLSVLSI'16), Boston, Massachusetts, May 18-20, 2016.

OIC'16 On Demand Laser Power Allocation for On-Chip Optical Interconnects
Matthew Kennedy and Avinash Karanth Kodi

Optical Interconnects Conference (OIC), San Diego, CA, May 9-11, 2016.

OIC'16 Energy-Efficient Optical Network-on-Chip Architecture for Heterogeneous Multicores
Scott VanWinkle, Matthew Kennedy, Dominic DiTomaso, and Avinash Karanth Kodi

Optical Interconnects Conference (OIC), San Diego, CA, May 9-11, 2016.

IPDPS'16
Mitigation of Denial of Service Attack with Hardware Trojans in NoC Architecture [PDF]
Travis Boraten and Avinash Karanth Kodi

30th IEEE International Parallel and Distributed Processing Symposium (IPDPS'07), Chicago, IL, May 23-27, 2016. (Acceptance Rate ~ 23%)

DATE'16 Packet Security with Path Sensitization for NoCs [PDF]
Travis Boraten and Avinash Karanth Kodi

Design and Test in Europe (DATE'16), Dresden, Germany, March 14-18, 2016.



----- 2015-------
IEEE TPDS A-WiNoC: Adaptive Wireless Network-on-Chips (NoCs) Architecture for Future Multicores [PDF]
Dominic DiTomaso, Avinash Kodi, David W. Matolak, Savas Kaya, Soumyasanta Laha, and William Rayess,
IEEE Transactions on Parallel and Distributed Systems, vol. 26, no. 12, pp. 3289-3302, December 2015.

IEEE TC

Resilient and Power-Efficient Multi-Function Channel Buffers in Network-on-Chip Architectures [PDF]
Dominic DiTomaso, Avinash Kodi, Ahmed Louri and Razvan Bunescu
IEEE Transactions on Computers, vol. 64, no. 12, pp. 3555-3568, December 2015.

IGSC'15 Power and Performance Analysis of Scalable Photonic Networks for Exascale Architecture [PDF]
Avinash Kodi, Brian Neel and William C. Brantley

6th IEEE International Green and Sustainable Computing Conference (IGSC'15), Las Vegas, NV, Dec 14-16, 2015.

E2NC'15 Cross-Chip: Low Power Processor-to-Memory Nanophotonic Interconnect Architecture [PDF]

Matthew Kennedy and Avinash Kodi
Workshop on Energy-Efficient Networks of Computers (E2NC): from the Chip to the Cloud
in Conjuction with (IGSC'15), Las Vegas, NV, Dec 14-16, 2015
.

NANOCOM'15 Kilocore Wireless Network-on-Chips (NoCs) Architecture [PDF]
Avinash Kodi, Ashif Sikder, Dominic DiTomaso, David Matolak
, Savas Kaya, Soumyasanta Laha and William Rayess
2nd ACM International Conference on Nanoscale Computing and Communication (NanoCom'15), Boston, Massachusetts, Sept 21-22, 2015.

Hot Int'15 OWN: Optical and Wireless Network-on-Chips (NoCs) for Kilo-core Architectures [PDF]
Avinash Kodi, Ashif Sikder, Ahmed Louri,
Savas Kaya, and Matthew Kennedy
23rd Annual Symposium on High-Performance Interconnects (Hot Interconnects'15), Santa Clara, CA, August 26-28, 2015.

GLSVLSI'15 Runtime Power Reduction Techniques in On-Chip Photonic Interconnects [PDF]
Brian Neel, Matthew Kennedy
and Avinash Kodi
25th ACM's Great Lakes VLSI Symposium (GLSVLSI'15), Pittsburgh, Pennsylvania, May 20-22, 2015.

IEEE TCAD      A New Frontier in Ultra-low Power Wireless Links: Network-on-Chip and Chip-to-Chip Interconnect [PDF]
Soumyasanta Laha, Savas Kaya, David W. Matolak, William Rayess, Dominic DiTomaso and Avinash Kodi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 34, no. 2, pp. 186-198, February 2015.

VLSI-D'15 Bandwidth Adaptive Nanophotonic Crossbars with Clockwise/Counter-Clockwise Optical Routing [PDF]
Matthew Kennedy and Avinash Kodi
28th International Conference on VLSI Design, Bangalore, India, January 3-7, 2015.


----- 2014-------
IEEE MICRO
Photonic Interconnects for Exascale and Datacenter Architectures [PDF]
Avinash Kodi, Brian Neel and William C. Brantley
IEEE Micro, Special Issue on Novel Architectures for High-Speed Data Center Interconnects,
vol.34, no. 5, pp. 18-30, September/October 2014.

WAMICON'14

LC Oscillators in Nanoscale DG-MOSFETs
Soumyasanta Laha, Savas Kaya, Avinash Kodi and David Matolak

15th Annual IEEE Wireless and Microwave Technology Conference (WAMICON’14), Tampa, Florida, June 6, 2014.

HPCA'14      
QORE: A Fault-Tolerant Network-on-Chip Architecture with Power-Efficient Quad Function Channel (QFC) Buffers [PDF]
Dominic DiTomaso, Avinash Kodi, and Ahmed Louri
20th IEEE International Symposium on High-Performance Computer Architecture (HPCA), Orlando, FL, February 15-19, 2014.
(Acceptance Rate ~ 25%)

IEEE TC       3D Stacked Nanophotonic Architecture with Minimal Reconfiguration [PDF]
Randy Morris, Avinash Kodi, Ahmed Louri and Ralph Whaley
IEEE Transactions on Computers, vol. 63, no. 1, pp. 243-255, January 2014.

IEEE TPDS Extending the Performance and Energy-Efficiency of Nanophotonic Interconnects for Shared Memory Multicores [PDF]
Randy Morris, Evan Jolley and Avinash Kodi
IEEE Transactions on Parallel and Distributed Systems, vol. 25, no. 1, pp. 83-84, January 2014.

ACM JETC Workload Assignment Considering NBTI Degradation in Multi-core Systems [PDF]
Jin Sun, Roman Lysecky, Karthik Shankar, Avinash Kodi, Ahmed Louri and Janet Wang
ACM Journal on Emerging Technologies in Computing Systems (JETC), vol. 10, no. 1, pp. 1-22, January 2014.


----- 2013-------
IEEE TVLSI      Extending the Energy-Efficiency and Performance with Channel Buffers, Crossbars and Topology Analysis for NoCs [PDF]
Dominic DiTomaso, Randy Morris, Avinash Kodi, Ashwini Sarathy and Ahmed Louri
IEEE Transactions on VLSI, vol. 21, no. 11, pp. 2141-2154, November 2013.

ICCD'13 Runtime Adaptive Scrubbing for Fault-Tolerant Networks-on-Chips (NoCs) Architectures [PDF]
Travis Boraten and Avinash Karanth Kodi
 31st IEEE International Conference on Computer Design (ICCD), Asheville, NC, Oct 6-9, 2013.
(Acceptance Rate ~ 25%, BEST PAPER)

MWSCAS'13 On Ultra-Short Wireless Interconnects for NoCs and SoCs: Bridging the 'THz' Gap [PDF] 
Savas Kaya, Soumyasanta Saha, Dominic DiTomaso, Avinash Kodi, David W. Matolak, and William Rayess
56th IEEE International Midwest Symposium on Circuits & Systems (MWSCAS), Columbus, Ohio, August 4-7, 2013.

IEEE WCM Channel Modeling for Wireless Networks-on-Chips [PDF]
David W. Matolak, Savas Kaya, and Avinash Kodi
IEEE Wireless Communications Magazine, vol. 51, no. 6, pp. 180-186, June 2013.

SLIP'13 Evaluating the Scalability and Performance of 3D Stacked Reconfigurable Nanophotonic Interconnects [PDF]
Randy Morris, Avinash Karanth Kodi and Ahmed Louri

15th IEEE/ACM System Level Interconnect Prediction (SLIP) colocated with Design Automation Conference (DAC), Austin, TX, June 2, 2013.

HPPAC'13 Energy-Efficient, Fault-Tolerant Unified Buffer and Bufferless Crossbar Architecture for NoCs [PDF]
Dominic DiTomaso, Randy Morris, Evan Jolley, Ashwini Sarathy, Ahmed Louri and
Avinash Karanth Kodi
Workshop on High-Performance Power-Aware Computing (HPPAC), held in conjuction with IPDPS'13, Boston, Massachusetts, May 20-24, 2013.

NOCs'13      
Energy-Efficient Adaptive Wireless NoCs Architecture [PDF]
Dominic DiTomaso, Avinash Karanth Kodi, David Matolak, Savas Kaya, Soumyasanta Laha and William Rayess
 IEEE/ACM 7th International Symposium on Networks-on-Chip (NoCs), Tempe, Arizona, April 21-24, 2012. (Acceptance Rate ~ 25%)

NOCs'13
PROBE: Prediction-based Optical Bandwidth Scaling for Energy-Efficient NoCs [PDF]
Li Zhou and Avinash Karanth Kodi
 IEEE/ACM 7th International Symposium on Networks-on-Chip (NoCs), Tempe, Arizona, April 21-24, 2012. (Acceptance Rate ~ 25%)

WAMICON'13 60 GHz Tunable LNA in 32 nm Double Gate MOSFET for a Wireless NoC Architecture [PDF]
Soumyasanta Laha, Savas Kaya, Avinash Kodi and David Matolak

14th Annual IEEE Wireless and Microwave Technology Conference (WAMICON’13), Orlando, Florida, April 7-9, 2013.


----- 2012-------
MICRO'12 Reconfiguration of 3D Photonic On-Chip Interconnects for Maximizing Performance and Improving Fault Tolerance [PDF]
Randy Morris, Avinash Karanth Kodi and Ahmed Louri
45th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-45), Vancouver, BC, Canada, Dec 1-5, 2012. (Acceptance Rate ~ 17%)


IEEE WCM
Wireless Networks-on-Chips: Architecture, Wireless Channel, and Devices [PDF]
David W. Matolak, Avinash Kodi, Savas Kaya, Dominic DiTomaso, Soumyasanta Laha and William Rayess
IEEE Wireless Communications Magazine, Special Issue on Wireless Communication at Nanoscale, vol. 19, no. 5, pp. 58-65, October 2012.

ICCD'12 3D-NoC: 3D Reconfigurable Nanophotonic Interconnects for Multicores [PDF]
Randy Morris, Avinash Karanth Kodi and Ahmed Louri
30th IEEE International Conference on Computer Design (ICCD), Montreal, Canada, Sept 30 - Oct 2, 2012. (Acceptance Rate ~ 27%)

OSA JOCN
SPRINT: Scalable Photonic Switching Fabric for High-Performance Computing [PDF]
Brian Neel, Randy Morris, Dominic DiTomaso, and Avinash Karanth Kodi

OSA Journal of Optical Communications & Networking, Special Issue on Enabling Optical Devices for Scalable Networks, vol. 4, no. 9, September 2012.

ICWITS'12 60 GHz OOK Transmitter in 32nm DG FinFET Technology[PDF]
Soumyasanta Laha, Savas Kaya, Avinash Kodi and David Matolak

 IEEE International Conference on Wireless Information Technology and Systems, Maui, Hawaii, Nov 11-16, 2012.

MWSCAS'12 Evaluation of Fault-Tolerant Channel Buffers for Improving Reliability in NoCs [PDF]
Dominic DiTomaso, Travis Boraten, Avinash Karanth Kodi and Ahmed Louri

 55th IEEE International Midwest Symposium on Circuits & Systems, Boise, Idaho, Aug 5-8, 2012.

MWSCAS'12 Evaluation and Performance Analysis of Energy-Efficient Wireless NoC Architecture [PDF]
Dominic DiTomaso, Soumyasanta Laha, Savas Kaya, David Matolak and
Avinash Karanth Kodi
55th IEEE International Midwest Symposium on Circuits & Systems, Boise, Idaho, Aug 5-8, 2012.

NEWCAS'12 Energy-Efficient Modulation for a Wireless Network-on-Chip Architecture [PDF]
Dominic DiTomaso, Soumyasanta Laha, Savas Kaya, David Matolak and
Avinash Karanth Kodi
10th IEEE International NEWCAS Conference, Montreal, Canada, June 17-20, 2012.

WLDMA'12 Power-Efficient Photonic Network for Many-core Architectures [PDF]
Brian Neel, Randy Morris, Dominic DiTomaso and
Avinash Karanth Kodi
Workshop on Lighter-than-Green Dependable Multicore Architectures, held in conjunction with International Green Computing Conference (IGCC'12), San Jose,California, June 5-8, 2012.


HPPAC'12
Energy-Efficient, Fault-Tolerant Unified Buffer and Bufferless Crossbar Architecture for NoCs [PDF]
Yixuan Zhang, Randy Morris, Dominic DiTomaso and
Avinash Karanth Kodi
Workshop on High-Performance Power-Aware Computing (HPPAC), held in conjuction with IPDPS'12, Shanghai, China, May 21-25, 2012.

WAMICON
'12
Double Gate MOSFET Based Efficient Wide Band Tunable Power Amplifiers [PDF]
Soumyasantha Laha, Savas Kaya, Avinash Karanth Kodi and David Matolak
13th Annual IEEE Wireless and Microwave Technology Conference, Cocoa Beach, Florida,
April 16-17, 2012.


----- 2011-------
ICCAD'11
Co-Design of Channel Buffers and Crossbar Organizations in NoCs Architectures [PDF]
Avinash Karanth Kodi, Randy Morris, Dominic DiTomaso, Ashwini Sarathy and Ahmed Louri
IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Jose, California, Nov 6-10, 2011. (Acceptance Rate ~ 30%)

Hot
Int'11
iWISE: Inter-router Wireless Scalable Express Channels for Network-on-Chips (NoCs) Architecture [PDF]
Dominic DiTomaso, Avinash Karanth Kodi, Savas Kaya and David Matolak
19th Annual IEEE Symposium on High-Performance Interconnects (Hot Interconnects), Aug 24-26, Santa Clara, California, 2011.
(Acceptance Rate ~ 31%)
JPDC
Introduction to the Special Issue on Network-on-Chips (NoCs)
Ahmed Louri and Avinash Karanth Kodi
Journal of Parallel and Distributed Systems (JPDC), vol. 71, issue 5, pp. 623-624, May 2011.
IEEE
JSTQE        
(invited) Energy-Efficient and Bandwidth Reconfigurable Photonic Networks for HPC Systems  [PDF]
Avinash Karanth Kodi and Ahmed Louri
IEEE Journal of Selected Topics in Quantum Electronics, Special Issue on Green Photonics, vol. 17, no. 2, pp. 384-395, April 2011.
OFC'11
Design of a High-Speed Nanophotonic Architecture for Cache Coherent Multicores [PDF]
Randy Morris and Avinash Karanth Kodi
Optical Fiber Communication Conference & Exposition (OFC), OThQ6, Los Angeles, CA, March 6-10, 2011.
MICPRO
Design of a Performance Enhanced and Power Reduced Dual-Crossbar NoC Architecture [PDF]
Yixuan Zhang, Randy Morris and Avinash Karanth Kodi
Elseiver Microprocessors and Microsystems, Special Issue on Network-on-Chips (NoCs), vol. 36, no. 2, pp. 110-118, March 2011.

----- 2010 -----
WINDS'10 
Scalable Nanophotonic Interconnect for Cache Coherent Multicores [PDF]
Randy Morris and Avinash Karanth Kodi
Workshop on the Interaction between Nanophotonic Devices Systems (WINDS) held in conjunction with MICRO-43, Atlanta, Georgia, Dec 5, 2010.

IEEE
PHO'10
Design of On-Chip Networks using Microring-Resonator Based Nanophotonic Crossbar for Future Multicores [PDF]
Randy Morris and Avinash Karanth Kodi
23rd Annual Meeting of the IEEE Photonics Society, TB3, Denver Colorado, Nov 7-11, 2010.

IEEE
JSTQE
Exploring the Design of 64 & 256 core Power Efficient Nanophotonic Interconnect [PDF]
Randy Morris and Avinash Karanth Kodi
IEEE Journal of Selected Topics in Quantum Electronics vol. 16, no. 5, pp. 1386-1393, September/October 2010.

NoCs'10
Power-Efficient and High-Performance Multi-Level Hybrid Nanophotonic Interconnect for Multicores [PDF]
Randy Morris and Avinash Karanth Kodi
4th ACM/IEEE International Symposium on Network-on-Chips (NoCS 2010), pp. 207-214, Grenoble, France, May 3-6, 2010. [Acceptance Rate ~ 27%, Best Paper Candidate]

ASP-
DAC'10
Workload Capacity Considering NBTI Degradation in Multicore Systems [PDF]
Jin Sun, Roman Lysecky, Karthik Shankar, Avinash Kodi, Ahmed Louri and Janet Wang
Proceedings of the IEEE 15th Asia and South Pacific Design Automation Conference (ASP-DAC'10), Taipei, Taiwan, Jan 18-21, 2010. [Acceptance Rate ~ 34%]


 ----- 2009 -----
IEEE          
JLT
Multi-Dimension and Reconfigurable Optical Interconnects for High-Performance Computing (HPC) Systems  [PDF]
Avinash Karanth Kodi and Ahmed Louri
IEEE Journal of Lightwave Technology, vol. 27, no. 21, pp. 4634-4641, November 2009.

ACISC'09
High-Speed Inter-Router Link Design for Networks-on-Chip (NoC) Architectures  [PDF]
Ravi Kiran Raghavendra, Avinash Kodi, Ahmed Louri and Janet Wang
Austin Conference on Integrated Systems & Circuits (ACISC'09), Austin, Texas, October 26-27, 2009.

ANCS'09
Design of a Scalable Nanophotonic Interconnect for Future Multicores  [PDF]
Avinash Karanth Kodi and Randy Morris
ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS'09), Princeton, New Jersey, October 19-20, 2009. [Acceptance Rate ~ 23%]

OSA
AO
Reconfigurable and Adaptive Photonic Networks for High-Performance Computing (HPC) Systems [PDF]
Avinash Karanth Kodi and Ahmed Louri
OSA Applied Optics, Special Issue on Optical High-Performance Computing, vol. 48, no. 22, pp. E13-E23, August 2009.

NoCs'09
On-Chip Photonic Interconnects for Scalable Multi-core Architectures [PDF]
Avinash Karanth Kodi, Randy Morris, Ahmed Louri and Xiang Zhang
Proceedings of the 3rd ACM/IEEE International Symposium on Network-on-Chip (NoCs'09), San Diego, California, pp. 90, May 10-13, 2009.

ISQED'09
Energy-Efficient Router Buffers with Bypassing for Network-on-Chips (NoCs) [PDF]
Avinash Karanth Kodi, Ahmed Louri and Janet Wang
Proceedings of the 10th IEEE International Symposium on Quality Electronic Design (ISQED'09), San Jose, California, pp. 826, March 16-18, 2009.

ISQED'09
NBTI Aware Workload Balancing in Multi-core Systems [PDF]
Sun Jin, Avinash Karanth Kodi, Ahmed Louri and Janet Wang
Proceedings of the 10th IEEE International Symposium on Quality Electronic Design (ISQED'09), San Jose, California, pp. 833, March 16-18, 2009.

ASP-
DAC'09
Adaptive Inter-Router Links for Low-Power, Area-Efficient and Reliable Network-on-Chip (NoC) Architectures [PDF]
Avinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri and Janet Wang
Proceedings of the IEEE 14th Asia and South Pacific Design Automation Conference (ASP-DAC'09), Yokohama, Japan, pp. 1-6, Jan 19-22, 2009. [Acceptance Rate ~ 30%, Best Paper Candidate]


 ----- 2008 -----

FiO'08      
Efficient Dynamic Bandwidth Re-Allocation in Photonic Networks Using SOI-Based Microring Resonators [PDF] 
Avinash Karanth Kodi and Ahmed Louri
Frontiers in Optics (FiO), OSA Annual Meeting, FTuA2, October 19-23, Rochester, New York 2008.

IEEE
MICRO
OPTISIM: A System Simulation Methodology in Optically Interconnected High-Performance Computing (HPC) Systems [PDF]
Avinash Karanth Kodi and Ahmed Louri
IEEE Micro, vol. 28, no. 5, pp. 22-36, September/October 2008.

IEEE
TC
Adaptive Channel Buffers in On-Chip Interconnection Networks - A Power and Performance Analysis [PDF]
Avinash Karanth Kodi, Ashwini Sarathy and Ahmed Louri
IEEE Transactions on Computers, vol. 57, no. 9, pp. 1169 - 1181, September 2008.

ISCA'08
iDEAL: Inter-router Dual-function Energy and Area-Efficient Links for Network-on-Chip (NoC) Architectures [PDF]
Avinash Karanth Kodi, Ashwini Sarathy and Ahmed Louri
Proceedings of the 35th International Symposium on Computer Architecture (ISCA'08), pp. 241-250, Beijing, China, June 21-25, 2008. [Acceptance Rate ~ 14%]

IEE
Elect-
Letters
Low-Power Low-Area Network-on-Chip Architecture using Adaptive Electronic Link Buffers [PDF]
Ashwini Sarathy, Avinash Karanth Kodi and Ahmed Louri
IEE Electronics Letters, vol 44, no 8, pp. 512-513, April 10, 2008.

 ----- 2007 -----
ANCS'07 
Design of Adaptive Communication Channel Buffers for Low-Power Area-Efficient  Network-on-Chip Architecture [PDF]
Avinash Karanth Kodi, Ashwini Sarathy and Ahmed Louri
ACM/IEEE Symposium on Architectures for Networking and Communications Systems, pp. 47-56, Orlando, Florida, December 3-4, 2007. [Acceptance Rate ~ 28%]

OSA
JON
A System Simulation Methodology of Optical Interconnects for High-Performance Computing (HPC) Systems [PDF]
Avinash Karanth Kodi and Ahmed Louri
OSA Journal of Optical Networking, vol. 6, no. 12, pp. 1282-1300, December 2007.

SC'07
Performance Adaptive Power-Aware Reconfigurable Optical Interconnects for High-Performance Computing (HPC) Systems [PDF]
Avinash Karanth Kodi and Ahmed Louri
International Conference for High-Performance Computing, Networking, Storage and Analysis (SC'07), Reno, Nevada, November 10-16, 2007. [Acceptance Rate ~ 21%]

IEEE
PTL
Proposed Low-Power High-Speed Microring Resonator-based Switching Technique for Dynamically Reconfigurable Optical Interconnects [PDF]
Chander Kochar, Avinash Kodi and Ahmed Louri
IEEE Photonics Technology Letters, vol. 19, no. 17, pp. 1304-1306, September 2007.

Hot
Int'07
Implementation of Dynamic Bandwidth Re-allocation in Optical Interconnects using Microring Resonator [PDF]
Chander Kochar, Avinash Kodi and Ahmed Louri
15th Annual IEEE Symposium on High-Performance Interconnects (Hot Interconnects '07), pp. 54-64, Stanford University, California, August 22-24, 2007.

OSA
JON
nD-RAPID: A Multi-Dimension Fault-tolerant Opto-Electronic Interconnection for Scalable HPC Systems [PDF]
Chander Kochar, Avinash Kodi and Ahmed Louri
Journal of Optical Networking, vol. 6, no. 5, pp. 465-481, May 2007.

IPDPS'07
Power-Aware Bandwidth Reconfigurable Optical Interconnects for HPC Systems [PDF]
Avinash Kodi and Ahmed Louri
Proceedings of the 21st IEEE International Parallel and Distributed Processing Symposium (IPDPS'07), pp. 81, Long Beach, California, March 26-30, 2007. [Acceptance Rate ~ 26%]


  ----- 2006 & Prior Years -----
OSA
AO
RAPID for High-Performance Computing: Architecture and Performance Evaluation [PDF]
Avinash Karanth Kodi and Ahmed Louri
OSA Applied Optics, Special Issue on Information Photonics, vol. 45, no. 25, pp. 6326-6334, September 2006

Hot
Int'06
A New Technique for Dynamic Bandwidth Re-allocation in Optically Interconnected High-Performance Computing Systems [PDF]
Avinash Karanth Kodi and Ahmed Louri
Proceedings of the 14th Annual IEEE Symposium on High-Performance (Hot Interconnects '06), pp. 31-36, Stanford University, California, August 23-25, 2006.

FiO'05
Switchless Photonic Architecture for Parallel Computers [PDF]
Avinash Karanth Kodi and Ahmed Louri
Frontiers in Optics, 89th OSA Annual Meeting, FTuW5, Tucson, Arizona, October 16-20, 2005.

PHO'05
Scalable Optical Interconnection Network for Parallel and Distributed Computing [PDF]
Avinash Karanth Kodi and Ahmed Louri
Information Photonics, Optical Society of America, IThB3, Charlotte, North Carolina, June 6-9, 2005

IEEE
MICRO
Design of a High-Speed Optical Interconnect for Scalable Shared Memory Multiprocessors [PDF]
Avinash Karanth Kodi and Ahmed Louri
IEEE Micro, vol. 25, no. 1, pp. 41-49, Jan/Feb 2005.

IEEE
TPDS
An Optical Interconnection Network and a Modified Snooping Protocol for the Design of Large-Scale Symmetric Multiprocessors (SMPs) [PDF]
Ahmed Louri and Avinash Karanth Kodi
IEEE Transactions on Parallel and Distributed Systems, vol. 15, no. 12, pp. 1093-1104, December 2004.

IEEE
JLT
RAPID: Reconfigurable All-Photonic Interconnect for Distributed shared memory multiprocessors [PDF]
Avinash Karanth Kodi and Ahmed Louri
IEEE Journal of Lightwave Technology, Special Issue on Optical Interconnects, vol .22, no. 9, pp. 2101-2110, September 2004.

Hot
Int'04
Design of a High-Speed Optical Interconnect for Scalable Shared Memory Multiprocessors [PDF]
Avinash Karanth Kodi and Ahmed Louri
Proceedings of the 12th Annual IEEE Symposium on High-Performance (Hot Interconnects '04), pp. 92-97, Stanford University, California, August 25-27, 2004.

IPDPS'04
A Scalable Architecture for Distributed Shared Memory Multiprocessors using Optical Interconnects [PDF]
Avinash Karanth Kodi and Ahmed Louri
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS'04), pp. 11b, Santa Fe, New Mexico, A pril 26-30, 2004. [Acceptance Rate ~ 39%]

FiO'03
Parallel Optical Interconnection Network for SMPs [PDF]
Ahmed Louri and Avinash Karanth Kodi
Frontiers in Optics, 87th OSA Annual Meeting, Tucson, Arizona, October 5-9, 2003

OSA
AO
SYMNET: An Optical Interconnection Network for Large-Scale, High-Performance Symmetric Multiprocessors [PDF]
Ahmed Louri and Avinash Kodi
OSA Applied Optics, vol. 42, no. 17, pp. 3407-3417, June 2003.

IEEE
JSTQE
Parallel Optical Interconnection Network for Address Transactions in large-scale, cache-coherent SMPs [PDF]
Ahmed Louri and Avinash Kodi
IEEE Journal of Selected Topics in Quantum Electronics, Special Issue on Optical Interconnects, vol. 9, no. 2, pp. 667-676, March/April 2003
OC'02
Optical Interconnects for Large-scale Symmetric Multiprocessor Networks
Avinash Kodi and Ahmed Louri
Proceedings of Optics in Computing (OC'02), Taipie, Taiwan, April 2002.

IEEE
LEOS
Y-Junction based Addressing in Optical Symmetric Multiprocessor Networks [PDF]
Avinash Kodi and Ahmed Louri
Proceedings of the 14th Annual Meeting of the IEEE/LEOS, vol. 2, pp. 865-866, San Diego, California, Nov 2001.